J
JacquesKleynhans
Guest
Urmatorul cod este un operator de date IO debit de la şi de la SRAM şi FPGA.
Code:
biblioteca ieee; ieee.std_logic_1164.all de utilizare; ieee.numeric_std.all de utilizare; dataflow_control entitate este port (state_enable: in std_logic; output_enable: in std_logic; camera_clk: in std_logic; readclk: in std_logic; data_in: in std_logic_vector ( 7 downto 0); data_inout: InOut std_logic_vector (7 downto 0); data_out: din std_logic_vector (7 downto 0)); dataflow_control scop; comportamentul arhitectura dataflow_control este semnalul data_in_reg: std_logic_vector (7 downto 0); data_out_reg semnal: std_logic_vector (7 downto 0); începe procesul de (camera_clk, state_enable, data_in) începe dacă (state_enable = '1 'şi rising_edge (camera_clk)), atunci data_in_reg