Vă rugăm să verificaţi dacă este corect codul de mai jos

  • Thread starter JacquesKleynhans
  • Start date
J

JacquesKleynhans

Guest
Urmatorul cod este un operator de date IO debit de la şi de la SRAM şi FPGA.
Code:
 biblioteca ieee; ieee.std_logic_1164.all de utilizare; ieee.numeric_std.all de utilizare; dataflow_control entitate este port (state_enable: in std_logic; output_enable: in std_logic; camera_clk: in std_logic; readclk: in std_logic; data_in: in std_logic_vector ( 7 downto 0); data_inout: InOut std_logic_vector (7 downto 0); data_out: din std_logic_vector (7 downto 0)); dataflow_control scop; comportamentul arhitectura dataflow_control este semnalul data_in_reg: std_logic_vector (7 downto 0); data_out_reg semnal: std_logic_vector (7 downto 0); începe procesul de (camera_clk, state_enable, data_in) începe dacă (state_enable = '1 'şi rising_edge (camera_clk)), atunci data_in_reg
 
hi care versiunea Xilinx sunt utilizaţi? A mea este Xilinx webpack 11.1, codul sintetizat în mod corespunzător, fără erori sau avertismente cu privire la ceea ce a mea
 
Sunt de fapt, folosind un dispozitiv de Actel ... Hmmm asta e ciudat că a lucrat bine pe a ta III încercaţi din nou pentru a simula. Thx pentru răspuns
 
Eu nu văd nici o problemă. Aceasta este ceea ce Cvart sintetizat:
rtll.jpg
 
Thx pentru verificarea baieti, cred ca problema este atunci când am simula codul interiorul meu IT de top ciocniri cu InOut şi semnalul de ieşire. Verificaţi poze
 
În caz contrar, puteţi verifica postul meu alt CONECTAREA SRAM, CAMERA MODULE, PIC şi FPGA [size = 2] [color = # 999999] Adăugat după 4 ore şi 20 de minute: [/color] [/size] Ei bine, eu cred că sale de lucru, a schimbat meu SRAM lucrurile sunt în căutarea în sus, din lemn atingere .....
 
codul nu a creat tampon tri-stat. dacă vrei să utilizaţi semnal bidirectional, ar trebui să creeze Tri-stat. am sugerăm să vă proiectaţi semnalul bidir aşa; tri
 
Este de succes, cu 4 avertismente în PC-ul meu [size = 2] [color = # 999999] Adăugat după 4 secunde: [/color] [/size] Este de succes în PC-ul meu
 

Welcome to EDABoard.com

Sponsor

Back
Top