calea multicycle în Soc.

Z

zzczx

Guest
I folos soc la spre a face Apr, dar a raportat mai multe încălcate.După ce am citit raportul, am găsit se pare că întâmpină Soc nu recunoaşte constrângere multicycle calea în script-ul DC.

o parte din dc constrânge
Cod:create_clock-name () CLK-perioadă de 14.000000 \

formă de undă (0.000000 7.000000) [(get_ports CLK)]

set_input_delay-max 8.700000-ceas CLK () [get_ports (da)]

set_input_delay-min 7.000000-ceas CLK ()-add_delay \

[get_ports (da)]

set_multicycle_path 2-setup-end-de la [get_clocks (CLK)] \

-prin intermediul [get_ports (da)]

set_output_delay-max 8.000000-ceas CLK () [get_ports DB ()]

set_output_delay-min 6.000000-ceas () CLK-add_delay [get_ports (db)]

 
Mark Zuckerberg rozmawiał z Barackiem Obamą o inwigilacji w Internecie. W podobnym czasie Edward Snowden mówił uczestnikom festiwalu SXSW o konieczności szyfrowania sieciowej aktywności. Czy wolny Internet to przeszłość? O potrzebie ochrony danych i sposobach zachowania prywatności opowiada Paweł Wujcikowski, ekspert ds. bezpieczeństwa Spy Shop.

Read more...
 
de bine ar putea fi faptul că nu aţi încărca constrângerile în mod corect.Verificaţi link-ul de sincronizare constrângere.

 
Soc nu are nici un raport de eroare, şi spun că citesc multicycle successly.

Puteţi să îmi daţi nici un indiciu mai multe despre asta?

mulţumesc foarte mult.

 

Welcome to EDABoard.com

Sponsor

Back
Top